subject
Engineering, 03.12.2019 18:31 oopfloop2

Use verilog to design and implement a function as as c = c+∑b*ai, i is from 1 to 8. here ai is stored in a sram with width as 16 and depth as 8 (8 rows of 16‐bit data), and b is stored in a 16‐bit register. c is initialized as 0.

ansver
Answers: 2

Another question on Engineering

question
Engineering, 04.07.2019 18:10
True or false (explain) (110)[111] is a slip system in bcc metals . the {111} family in fcc contains 8 planes. resolved shear stress (rss) in single crystals is just related to the applied stress. critical resolved shear stress (crss) in single crystal metals is direct proportional to the number of defects in the structure
Answers: 2
question
Engineering, 04.07.2019 18:20
How much power could a wind turbine produce if it had the following specifications? cp = 0.45 -d=1.2kg/m3 d=50m v 5m/s
Answers: 2
question
Engineering, 04.07.2019 19:10
An external consultant recommends that a plant installs a bank of capacitors for power factor correction. this will reduce the peak electrical demand charges by an average of 93 kw every month. the plant current pays $13 per kw in peak demand charges. the capacitor bank will include 223 kw of fixed capacitors, and 183 of variable capacitors. the fixed capacitors cost $59 per kw, and the variable capacitors will cost $65 per kw. the consultant charges 21% of the equipment costs to install the capacitors. because this project will reduce the demand for the electric utility, they are prepared to provide a one-time rebate of $42 per kw of reduced demand. what is the simple payback period for this project (in years)?
Answers: 2
question
Engineering, 06.07.2019 03:10
Consider two concentric spheres forming an enclosure with diameters of 12 cm and 18 cm the spheres are maintained at uniform temperatures ti-50°c and t2 = 250°c and have emissivities .45 and .8, respectively. determine the net rate of radiation heat transfer between the two spheres per unit surface area.
Answers: 1
You know the right answer?
Use verilog to design and implement a function as as c = c+∑b*ai, i is from 1 to 8. here ai is store...
Questions
question
Mathematics, 23.07.2019 01:30
Questions on the website: 13722367